Webinar: Hybrid bonding technology - today and tomorrow

Technology Webinar

Hybrid bonding technology
- today and tomorrow

TechInsights experts review applications of hybrid bonding technology, and discuss what’s to come.

Register to watch this webinar on demand.

To ensure email delivery, add TInews@techinsights.com to your address book, contacts, or safe sender list. Note: These forms do not accept addresses from free email providers.

Hybrid bonding technology is rapidly becoming a standard approach in chipmaking due to its ability to increase connection densities.

This webinar:

  • Examined different hybrid bonding approaches implemented in recent devices
  • Discussed key players currently using this technology
  • Looked to the future of hybrid bonding, discussing potential wins – and pitfalls – to come.

This presentation compiles content from TechInsights’ subject matter experts in Memory, Image Sensor, and Logic, and from Engineers specializing in a variety of reverse engineering techniques.

Hybrid bonding technology

The back end of line (BEOL) is the part of chip fabrication where individual devices (resistors, capacitors, transistors, etc.) are wired to the wafer. Advancements in far-BEOL interconnect technologies have continued to increase connection densities over the past decade. Further improvements will be enabled through hybrid bonding.

A preview of the topics that will be discussed:

Advanced Logic

  • First saw Chip on Wafer (CoW) hybrid bonding technology in the AMD Ryzen 7.
  • 直接与处理器greatl堆内存y increases available cache memory.
  • Milestone for system-technology-co-optimization (heterogeneous 3D scaling) described in the International Roadmap for Devices and Systems (IRDS) More Moore roadmap.

Image Sensors

  • We have seen Wafer-to-Wafer (W2W) stacking since 2016 from Sony.
  • Bond pitches as small as 2.2 µm are common, and the trend points to pitches as small as 1.4 μm.
  • Direct bond interconnect will ultimately enable digital pixel with in-pixel ADC and stacking of three or more wafers.

Memory

  • Hybrid bonding often used in High Bandwidth Memory (HBM) and 3D Xtacking applications.
  • Hybrid bonding will be one of most important high density memory enablers.
  • 进一步的扩展,更大的成本效益,更少defects, and solutions to thermal issues are still required.

Several of the contributors will be available for the live Q&A session, which will immediately follow the pre-recorded webinar presentation.

Contributors to this presentation include:

  • Yuzo Fukuzaki, Alain Gauthier, Eugene Hsu - Logic
  • Ziad Shukri, Wilson Machado, Eric Rutulis – Image Sensors
  • Jeongdong Choe, Chi Lim Tan – Memory
  • Narrated by Taylor St. Germain

Free Trial